Programmieren & Softwareentwicklung

2.481 Mitglieder, 27.615 Beiträge

Arduino Esp32 zu SPI Display?

Hallo, ich habe schon seit mehr als 1woche das Problem, dass ich meinen Esp32 (Dev Kit) nicht mit einem Display (GC9A01) welches SPI Schnittstelle verwendet, verbinden kann. Ich habe schon unzählige Stunden damit verbracht, SPI Displays per Arduino Nano anzusteuern, das hat auch prima geklappt. Jetzt will ich einen Esp32 verwenden und nun hab ich das Problem, dass ich nicht weiß wie ich den Esp32 die Pinbelegung für das Display definiere. Im Internet steht, dass ich im Dateinordner der Bibliothek/Library des Displays diese definieren soll unter usersetup.h. Aber bringt sich irgendwie nix. Ja Treiber etc. hab ich installiert und kann auch Programme auf den Esp spielen. Youtube Tutorials helfen auch nicht weiter, kennt sich hier vielleicht jemand damit aus? Danke im Voraus!

Habe einen komischen Fehler in VHDL, welcher so nicht auftreten sollte?

Bei mir komm tin VHDL der Folgende Fehler, bei folgendem Code, und ich kann mir nicht erklären warum line 36:5:warning: instance "low_counter" of component "genericcounter" is not bound [-Wbinding] low_counter: GenericCounter123 ^ line 14:14:warning: (in default configuration of sekundenzaehler(rtl)) line 49:5:warning: instance "high_counter" of component "genericcounter123" is not bound [-Wbinding] high_counter: GenericCounter123 ^ line 14:14:warning: (in default configuration of sekundenzaehler(rtl)) ----- ERROR: ELABORATION Folgender Code wurde analysiert: 1: library ieee; 2: use ieee.std_logic_1164.all; 3: use ieee.numeric_std.all; 4: 5: entity SekundenZaehler is 6: port( 7: clk : in std_logic; 8: reset : in std_logic; 9: count_1 : out std_logic_vector(3 downto 0); 10: count_10 : out std_logic_vector(3 downto 0) 11: ); 12: end SekundenZaehler; 13: 14: architecture rtl of SekundenZaehler is 15: 16: component GenericCounter is 17: generic ( 18: Count_max : integer 19: ); 20: port( 21: clk : in std_logic; 22: enable : in std_logic; 23: reset : in std_logic; 24: count : out std_logic_vector(3 downto 0); 25: overflow : out std_logic 26: ); 27: end component; 28: 29: --Zähler und Overflowsignale 30: signal five_count : std_logic_vector(3 downto 0); 31: signal nine_count : std_logic_vector(3 downto 0); 32: signal five_overflow : std_logic; 33: signal nine_overflow : std_logic; 34: 35: begin 36: low_counter: GenericCounter 37: generic map ( 38: Count_max => 9 -- Zählt von 0 bis 9 (Einerstelle) 39: ) 40: port map ( 41: clk => clk, 42: enable => &apos;1&apos;, 43: reset => reset, 44: count => nine_count, 45: overflow => nine_overflow 46: ); 47: 48: --Zehnerzähler (Zählt von 0 bis 5) 49: high_counter: GenericCounter123 50: generic map ( 51: Count_max => 5 -- Zählt von 0 bis 5 (Zehnerstelle) 52: ) 53: port map ( 54: clk => clk, 55: enable => nine_overflow, 56: reset => reset, 57: count => five_count, 58: overflow => five_overflow 59: ); 60: 61: --Zuordnung der Ausgänge 62: count_1 <= nine_count; -- Einerstellen 63: count_10 <= five_count; -- Zehnerstellen 64: end architecture rtl; 65:

Welches Hosting-Panel System ist besser für Web Hosting?

Welches Hosting-Panel System ist besser für eigenen Web Hosting Service? Wie bei cPanel ( https://ifastnet.com/demo/ ) - Alle Server/Seiten in 1 Panel und man managed nur bestimmte sachen seperat Wie bei Aternos/generell den meisten Game Hostings ( https://aternos.org/:en/ ) - Jeder Server/Seite hat ein eigenes Panel Das Panel wär halt für mein Web und MySQL Hosting Service. Bitte die antwort begründen
Anderes (Vorschlag)100%
1 Panel für alles. (bitte begründen)0%
Für alles ein eigenes Panel (bitte begründen)0%
1 Stimme

WPF: DataGridTextColumn basierend auf ViewModel-Eigenschaft ausblenden – wie geht das?

Hallo zusammen, ich arbeite an einer WPF-Anwendung und habe ein DataGrid, in dem ich eine bestimmte Spalte ausblenden möchte, wenn eine boolesche Eigenschaft (IstPM) im ViewModel true ist. <DataGridTextColumn Header="Länge" Binding="{Binding Laenge, Mode=TwoWay, UpdateSourceTrigger=PropertyChanged, StringFormat=N1}" Visibility="{Binding IstNichtPM, Converter={StaticResource booleanToVisibilityConverter}}" Width="70"/> Dabei ist IstNichtPM eine bool-Eigenschaft im ViewModel, die true sein sollte, wenn die Spalte sichtbar sein soll. Ich verwende einen BooleanToVisibilityConverter, der true zu Visible und false zu Collapsed konvertiert. Problem: Die Spalte bleibt immer sichtbar oder wird nicht korrekt aktualisiert. Ich habe auch versucht, es in Code-Behind zu ändern, aber das geht auch nicht, da man kein x-Name geben kann „Der Wert „colLaenge“ des Name-Attributs kann für das Element „DataGridTextColumn“ nicht festgelegt werden.“ die IstPM und istNichtPM funktioniert aufjedenfall, da ich damit auch Tabs ausgeblendet habe in der Page. Das hat ohne Probleme funktioniert => ausblenden bei IstNichtPM <TabItem Header="Allgemein" Visibility="{Binding IstNichtPM, Converter={StaticResource booleanToVisibilityConverter}}"> einblenden bei IstPM <TabItem Header="Allgemein" Visibility="{Binding IstPM, Converter={StaticResource booleanToVisibilityConverter}}"> beim DGV bin ich so langsam echt am verzweifeln. Hat jemand eine funktionierende Lösung, wie ich eine DataGridTextColumn in WPF dynamisch ausblenden kann? Bin für jeden Tipp dankbar!

(PHP-Script) Wieso funktioniert das einmal und einmal nicht?

Hab mal eine Frage zu einer Formular-PHP-aus-einer-json-Datei-auslese-und-wieder-eintrag Funktion, die ich versuche genauer zu verstehen. Genauer gesagt komm ich da an einer Stelle nicht weiter (weil sich Teile der Funktion scheinbar komplett willkürlich verhält!) Also wenn ich in PHP eine Funktion in der Struktur hier habe: if ($_SERVER["REQUEST_METHOD"] == "POST") { $params = [ "p1" => isset($_POST[&apos;p1&apos;]) ? $_POST[&apos;p1&apos;] : null, "p2" => isset($_POST[&apos;p2&apos;]) ? $_POST[&apos;p2&apos;] : null, "p3" => isset($_POST[&apos;p3&apos;]) ? $_POST[&apos;p3&apos;] : null, "p4" => isset($_POST[&apos;p4&apos;]) ? $_POST[&apos;p4&apos;] : null, // A_settings "P5" => [ "SP1" => $_POST[&apos;P1&apos;], "SP2" => $_POST[&apos;P2&apos;], ], ]; und der Inhalt der auszulesenden json Datei so aussieht: { "p1": "antwort1", "p2": "antwort2", "p3": "antwort3", "p4": "antwort4", "self": { "P5": { "SP1": antwort5, "SP2": antwort6 } } } klappt scheinbar alles hervorragend. Die Parameter werden gefunden und nach dem Absenden des Formulars korrekt überschrieben. Wenn ich allerdings wie im folgenden Beispiel etwas mehr Parameter hinzufüge wie in dieser Struktur hier und für die Benennung etwas längere Namenschemen verwende: if ($_SERVER["REQUEST_METHOD"] == "POST") { $params = [ /// calculation nr1: "Self.P1" => isset($_POST[&apos;Self.P1&apos;]) ? $_POST[&apos;Self.P1&apos;] : null, "Self.P2" => isset($_POST[&apos;Self.P2&apos;]) ? $_POST[&apos;Self.P2&apos;] : null, "Self.P3" => isset($_POST[&apos;Self.P3&apos;]) ? $_POST[&apos;Self.P3&apos;] : null, "Self.P4" => isset($_POST[&apos;Self.P4&apos;]) ? $_POST[&apos;Self.P4&apos;] : null, ..... "Self.P18" => isset($_POST[&apos;Self.P18&apos;]) ? $_POST[&apos;Self.P18&apos;] : null, "Self.P19" => isset($_POST[&apos;Self.P19&apos;]) ? $_POST[&apos;Self.P19&apos;] : null, "Self.P20" => isset($_POST[&apos;Self.P20&apos;]) ? $_POST[&apos;Self.P20&apos;] : null, "Self.P21" => isset($_POST[&apos;Self.P21&apos;]) ? $_POST[&apos;Self.P21&apos;] : null, /// // A_settings "self.A_settings" => [ "w1" => $_POST[&apos;w1&apos;], "w2" => $_POST[&apos;w2&apos;], ], // B_settings "self.B_settings_2" => [ "w3" => $_POST[&apos;w3&apos;], "w4" => $_POST[&apos;w4&apos;], ], sieht die json-Datei nach dem Absenden für die ersten einfachen Parameter plötzlich so aus: { "Self.P1": null, "Self.P2": null, "Self.P3": null, ..... "Self.P21": null, Nur die verschachtelten Parameter, die danach kommen: "self.A_settings": { "w1": "Antwort1", "w2": "Antwort2" }, "self.B_settings_2": { "w3": "Antwort3", "w4": "Antwort4" }, werden nach wie vor korrekt in die Datei geschrieben! Weiß einer oder hat eine Theorie wieso das eine problemlos funktioniert und bei der anderen Variante plötzlich systematisch alle einfachen Parameter (also Self.P1-21) den Wert "null" zurückgeben?