Programmieren & Softwareentwicklung

2.481 Mitglieder, 27.613 Beiträge

Warum habe ich diese komischen Zustandswechsel bei diesem VHDL Code?

Hey, ich habe ein Problem mit meinem VHDL Code, dieser sollte meiner ansicht nach eigentlich super funktiuonnieren, jedoch bekomme ich beim Testen eine komische zurückkopplung auf den Vorherigen Zustand, ich vermute dies hat irgendetwas mit der Ausführungsreihnfolgef zu tun (kann da aber auch falsch liegen). Die Komponenten berechenen sicher alle Ausgänge richtig. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SpeechAssistant is generic ( CLK_HZ : natural := 10 ); port ( clk : in std_logic; reset : in std_logic; clk_audio : in std_logic; audio_in : in std_logic_vector(23 downto 0) ); end SpeechAssistant; architecture rtl of SpeechAssistant is -- Deklarierungen &#xa0; &#xa0; type zustand_t is (ERKENNE, KLASSIFIZIERE, STREAME); &#xa0; &#xa0; signal zustand_ff, zustand_nxt : zustand_t; &#xa0; &#xa0; --Signale &#xa0; &#xa0; signal speech_detected : std_logic; &#xa0; &#xa0; signal wakeword_detected : std_logic; &#xa0; &#xa0; signal cmd_end : std_logic; &#xa0; &#xa0; -- Zähler-Signale &#xa0; &#xa0; signal timer_preset : unsigned(5 downto 0) := (others => '0'); &#xa0; &#xa0; signal timer_start, timer_finished : std_logic; -- PresetDownCounter Komponente &#xa0; &#xa0; component PresetDownCounter is &#xa0; &#xa0; &#xa0; &#xa0; generic( &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; TIMER_BITS : natural := 6 &#xa0; &#xa0; &#xa0; &#xa0; ); &#xa0; &#xa0; &#xa0; &#xa0; port( &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; clk : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; reset : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_preset : in unsigned(TIMER_BITS-1 downto 0); &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_start : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_finished : out std_logic &#xa0; &#xa0; &#xa0; &#xa0; ); &#xa0; &#xa0; end component; --Spracherkenner komponente &#xa0; component SpeechActivityDetector is &#xa0; &#xa0; port( &#xa0; &#xa0; &#xa0; &#xa0; clk : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; reset : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; clk_audio : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; audio_in : in std_logic_vector(23 downto 0); &#xa0; &#xa0; &#xa0; &#xa0; enable : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; speech_detected : out std_logic &#xa0; &#xa0; &#xa0; &#xa0; ); &#xa0; end component; --Worterkennungskomponente &#xa0; component WakeWordClassifier is &#xa0; &#xa0; port( &#xa0; &#xa0; &#xa0; &#xa0; clk : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; reset : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; clk_audio : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; audio_in : in std_logic_vector(23 downto 0); &#xa0; &#xa0; &#xa0; &#xa0; enable : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; wakeword_detected : out std_logic &#xa0; &#xa0; ); &#xa0; end component; --Übertragungskomponente &#xa0; component AudioStreamer is &#xa0; &#xa0; port( &#xa0; &#xa0; &#xa0; &#xa0; clk : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; reset : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; clk_audio : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; audio_in : in std_logic_vector(23 downto 0); &#xa0; &#xa0; &#xa0; &#xa0; enable : in std_logic; &#xa0; &#xa0; &#xa0; &#xa0; cmd_end : out std_logic &#xa0; &#xa0; ); &#xa0; &#xa0; end component; begin -- Instanziierung des Timers &#xa0; &#xa0; timer_inst : PresetDownCounter &#xa0; &#xa0; &#xa0; &#xa0; generic map (TIMER_BITS => 6) &#xa0; &#xa0; &#xa0; &#xa0; port map ( &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; clk => clk, &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; reset => reset, &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_preset => timer_preset, &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_start => timer_start, &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_finished => timer_finished &#xa0; &#xa0; &#xa0; &#xa0; ); -- Instanzierung ActivityDetector &#xa0; &#xa0; Detector_inst : SpeechActivityDetector &#xa0; &#xa0; &#xa0; port map ( &#xa0; &#xa0; &#xa0; &#xa0; clk => clk, &#xa0; &#xa0; &#xa0; &#xa0; reset => reset, &#xa0; &#xa0; &#xa0; &#xa0; clk_audio => clk_audio, &#xa0; &#xa0; &#xa0; &#xa0; audio_in => audio_in, &#xa0; &#xa0; &#xa0; &#xa0; enable => '1', &#xa0; &#xa0; &#xa0; &#xa0; speech_detected => speech_detected &#xa0; &#xa0; ); -- Instanzierung WordClassifier &#xa0; &#xa0; Classifier_inst : WakeWordClassifier&#xa0; &#xa0; &#xa0; &#xa0; port map ( &#xa0; &#xa0; &#xa0; &#xa0; clk => clk, &#xa0; &#xa0; &#xa0; &#xa0; reset => reset, &#xa0; &#xa0; &#xa0; &#xa0; clk_audio => clk_audio, &#xa0; &#xa0; &#xa0; &#xa0; audio_in => audio_in, &#xa0; &#xa0; &#xa0; &#xa0; enable => speech_detected, &#xa0; &#xa0; &#xa0; &#xa0; wakeword_detected => wakeword_detected &#xa0; &#xa0; ); --Instanzierung AudioStreamer &#xa0; &#xa0; Streamer_inst : AudioStreamer&#xa0; &#xa0; &#xa0; &#xa0; port map ( &#xa0; &#xa0; &#xa0; &#xa0; clk => clk, &#xa0; &#xa0; &#xa0; &#xa0; reset => reset, &#xa0; &#xa0; &#xa0; &#xa0; clk_audio => clk_audio, &#xa0; &#xa0; &#xa0; &#xa0; audio_in => audio_in, &#xa0; &#xa0; &#xa0; &#xa0; enable => wakeword_detected, &#xa0; &#xa0; &#xa0; &#xa0; cmd_end => cmd_end &#xa0; &#xa0; ); --Prozess für den Zustandswechsel process (clk, reset) &#xa0; begin &#xa0; &#xa0; if reset = '1' then &#xa0; &#xa0; &#xa0; zustand_ff <= ERKENNE; &#xa0; &#xa0; elsif rising_edge(clk) then &#xa0; &#xa0; &#xa0; zustand_ff <= zustand_nxt; &#xa0; &#xa0; end if; &#xa0; end process; --Prozess für TimerSetzung process (zustand_ff) &#xa0; begin &#xa0; &#xa0; case zustand_ff is &#xa0; &#xa0; &#xa0; when KLASSIFIZIERE => &#xa0; &#xa0; &#xa0; &#xa0; timer_preset <= to_unsigned(3 * 10, 6); &#xa0; &#xa0; &#xa0; when STREAME => &#xa0; &#xa0; &#xa0; &#xa0; timer_preset <= to_unsigned(5 * 10, 6); &#xa0; &#xa0; &#xa0; when ERKENNE => &#xa0; &#xa0; &#xa0; &#xa0; timer_preset <= (others => '0'); &#xa0; &#xa0; end case; end process; --Prozess für Zustandslogik process (zustand_ff, timer_finished, speech_detected, wakeword_detected, cmd_end) &#xa0; begin &#xa0; &#xa0; zustand_nxt <= zustand_ff; &#xa0; &#xa0; timer_start <= '0'; &#xa0; &#xa0;&#xa0; &#xa0; &#xa0; case zustand_ff is &#xa0; &#xa0; &#xa0; when ERKENNE => &#xa0; &#xa0; &#xa0; &#xa0; if speech_detected = '1' then &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= KLASSIFIZIERE; &#xa0; &#xa0; &#xa0; &#xa0; else &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= ERKENNE; &#xa0; &#xa0; &#xa0; &#xa0; end if; &#xa0; &#xa0; &#xa0; when KLASSIFIZIERE => &#xa0; &#xa0; &#xa0; &#xa0; timer_start <= '1'; &#xa0; &#xa0; &#xa0; &#xa0; if timer_finished = '1' then &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= ERKENNE; &#xa0; &#xa0; &#xa0; &#xa0; elsif wakeword_detected = '1' then &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= STREAME; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; timer_start <= '0'; &#xa0; &#xa0; &#xa0; &#xa0; end if; &#xa0; &#xa0; when STREAME => &#xa0; &#xa0; &#xa0; timer_start <= '1'; &#xa0; &#xa0; &#xa0; if timer_finished = '1' then &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= ERKENNE; &#xa0; &#xa0; &#xa0; elsif cmd_end = '1' then &#xa0; &#xa0; &#xa0; &#xa0; zustand_nxt <= ERKENNE; &#xa0; &#xa0; &#xa0; end if; &#xa0; &#xa0; end case; end process; end architecture rtl
Bild zum Beitrag

Bei CSS "display: flex;" wird alles schief warum?

HTML: <!DOCTYPE html> <html lang="en"> <head> &#xa0;&#xa0;<meta charset="UTF-8"> &#xa0;&#xa0;<meta http-equiv="X-UA-Compatible" content="IE=edge"> &#xa0;&#xa0;<meta name="viewport" content="width=device-width, initial-scale=1.0"> &#xa0;&#xa0;<title>Login</title> &#xa0;&#xa0;<!-- stylesheet --> &#xa0;&#xa0;&#xa0;<link rel="stylesheet" href="styles.css"> &#xa0;&#xa0;&#xa0;<!-- Boostrap Icon --> &#xa0;&#xa0;&#xa0;<link rel="stylesheet" href=" https://cdn.jsdelivr.net/npm/bootstrap-icons@1.3.0/font/bootstrap-icons.css " /> </head> <body> &#xa0;&#xa0;<div class="container"> &#xa0;&#xa0;&#xa0;&#xa0;<!-- Create Account --> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="sign-up"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="sign-up-container"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<h3>Create account</h3> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="cs-form-group"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<label for="Email">Email</label> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<input type="email" class="cs-form-control"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div class="cs-form-group"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<label for="password">Password</label> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<input type="password" name="" id="" class="cs-form-control"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="cs-form-control"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<button class="cs-form-submit">Create Account</button> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<span class="divider"></span> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="cs-form-group"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<button class="social-login"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<span class="social-icon"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<img src=" https://upload.wikimedia.org/wikipedia/commons/thumb/c/c1/Google_%22G%22_logo.svg/2048px-Google_%22G%22_logo.svg.png " alt="" style="width: 20px;"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0; &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</span> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;Create using Google Account &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</button> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<p>Already have an account?<a href="#"> Login</a></p> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<!-- Login --> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="login"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<!-- Forgot Password --> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;<div class="forget-password"> &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;</div> &#xa0;&#xa0;</div> &#xa0;&#xa0; </body> </html> CSS: @import url(' https://fonts.googleapis.com/css2?family=Inter:wght@300;400;500;600;700;800;900&display=swap '); *{ &#xa0;&#xa0;box-sizing: border-box; &#xa0;&#xa0;padding: 0; &#xa0;&#xa0;margin: 0; &#xa0;&#xa0;box-sizing: border-box; } .container{ &#xa0;&#xa0;position: relative; &#xa0;&#xa0;display: flex; &#xa0;&#xa0;align-items: center; &#xa0;&#xa0;justify-content: center; &#xa0;&#xa0;height: 100vh; &#xa0;&#xa0;background: #F5F5F5; } .sign-up{ &#xa0;&#xa0;position: relative; &#xa0;&#xa0;padding: 10px; &#xa0;&#xa0;width: 420px; } .sign-up-container{ &#xa0;&#xa0;position: relative; &#xa0;&#xa0;background: #F5F5F5; &#xa0;&#xa0;padding: 24px 20px; &#xa0;&#xa0;border: 1px solid #D4D5D8; &#xa0;&#xa0;box-shadow: 0px 1px 2px rgba(152, 152, 152, 0.2); } .sign-up-container h3{ &#xa0;&#xa0;font-size: 28px; &#xa0;&#xa0;font-weight: 800; &#xa0;&#xa0;margin-bottom: 8px; } .cs-form-group{ &#xa0;&#xa0;display: block; }

App programieren?

Hallo, Kennt Jemand einen guten Programmierer, der Apps erstellen kann, wobei er auf Wünsche und vorgaben achtet? Wie viel würde das kosten und was passiert vorher allgemein bevor man eine App in Auftrag gibt? Die App soll sehr viele Funktionen haben, die ziemlich komplex sein könnten, aber für Nutzer eben nicht kompliziert bedienbar. Übersichtlichkeit ist super wichtig sowie die einfache Bedienung und die Verfolgung der Angaben bzw. Wünsche exakt nach Vorstellungen. Was passiert eigentlich, wenn die App irgendwann fertig ist, sie aber nicht unseren Vorstellungen nicht entspricht?

Webflow oder WIX?

Ich hab mich in den letzten Tagen sehr für Webdesign begeistert, allerdings frage ich mich welches der beiden Programme besser für die Zukunft ist. Weil manche behaupten das WIX nur ein Programm für Einsteiger wäre und es unnötig seine Zeit damit zu vertreiben und es besser wäre sich direkt auf Webflow zu fokussieren, weil es in der Geschäftswelt seriöser ist. Ich bin als interessierter Einsteiger auf die Antwort von den Webdesignern hier gespannt ob das stimmt oder nur Gerüchte sind.

Error bei Unity?

ich habe den Error bei Unity: All compiler errors have to be fixed before you can enter playmode! UnityEditor.SceneView:ShowCompileErrorNotification () das sind die codes: 1. using UnityEngine; using UnityEngine.SceneManagement; public class Door : MonoBehaviour { &#xa0;&#xa0;public GameObject doorObject; &#xa0;&#xa0;void OnMouseDown() &#xa0;&#xa0;{ &#xa0;&#xa0;&#xa0;&#xa0;if (Key.hasKey) &#xa0;&#xa0;&#xa0;&#xa0;{ &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;SceneManager.LoadScene("Level 2"); &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;Debug.Log("Tür geöffnet!"); &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;CompleteLevel; &#xa0;&#xa0;&#xa0;&#xa0;} &#xa0;&#xa0;&#xa0;&#xa0;else &#xa0;&#xa0;&#xa0;&#xa0;{ &#xa0;&#xa0;&#xa0;&#xa0;&#xa0;&#xa0;Debug.Log("Du brauchst einen Schlüssel!"); &#xa0;&#xa0;&#xa0;&#xa0;} &#xa0;&#xa0;} } Code: 2. using UnityEngine; using UnityEngine.SceneManagement; public class LevelManager : MonoBehaviour { &#xa0;&#xa0;public static int currentLevel; &#xa0;&#xa0;void Start() &#xa0;&#xa0;{ &#xa0;&#xa0;&#xa0; &#xa0;&#xa0;&#xa0;&#xa0;currentLevel = PlayerPrefs.GetInt("CurrentLevel", 1); &#xa0;&#xa0;} &#xa0;&#xa0;public void CompleteLevel() &#xa0;&#xa0;{ &#xa0;&#xa0;&#xa0;&#xa0;currentLevel++; &#xa0;&#xa0;&#xa0;&#xa0;PlayerPrefs.SetInt("CurrentLevel", currentLevel); &#xa0;&#xa0;&#xa0;&#xa0;PlayerPrefs.Save(); &#xa0;&#xa0;&#xa0; &#xa0;&#xa0;&#xa0;&#xa0;SceneManager.LoadScene("Level " + currentLevel); &#xa0;&#xa0;} }

Wie kann ich ein Minecraft Plugin coden?

Moin Leute, ich habe vor in Stream ein neues Projekt zu starten, bei dem ich alle Strukturen betreten muss. Diese sollen immer in der Bossbar vorgegeben sein und man sollte auch wie BastiGHG in seinem All and only Chests-Interface sehen können, welche Strukturen man schon betreten hat und welche man noch betreten muss. Natürlich sollte dann auch automatisch die nächste Struktur in der Bossbar stehen und sich auch das Interface sollte sich aktualisieren. Wäre so etwas theoretisch möglich zu coden oder gibt es bereits ein Tutorial wie ich in der Art so etwas coden könnte? Ich habe schon etwas Ahnung bei Coding aber jetzt auch nicht allzu viel, deshalb will ich jetzt endlich anfangen, selbst meine Challenges zu coden. Bei Rückfragen oder Bilderanfragen für Genaueres einfach in den Kommentaren fragen. Danke schon einmal im Voraus.

Ich habe vor einigen Wochen ein Praktikum besucht und jetzt sind die importierten Dateien leer?

Wichtig zu wissen ist, dass ich kein Technik-Experte bin also weißt mich bitte auf Fehler hin. Also, Ich habe ein Praktikum bei einer IT-Firma absolviert. Dort habe ich Code geschrieben (die Sprache war Java, mit Gradle in Javafx). Da die Vorschriften dort streng waren, durfte ich den Code nicht fotografieren, sondern nur mit einem USB-Stick nach Hause mitnehmen. Jetzt habe ich also hier zuhause mehrere Dateiordner, die jeweils ein Projekt darstellen. Wenn ich ein Projekt auswähle und mich dann durchklicke (src-main-java-org-example-main.java) dann sehe ich bei main.java einen Standardcode, wie er bei jedem Projekt zu finden ist, wenn man es gerade erstellt hat. Heißt das dass mein ursprünglicher Code weg ist? Gibt es eine Möglichkeit an diesen zu kommen? Was könnte mein Fehler gewesen sein? Achja, ich habe noch nicht versucht das Programm in JavaFX mit Gradle zu importieren, weil ich nicht weiß wie das geht. Wichtig wäre nur dass der Code da ist.

Emit asynchron ausführen beim logging modul in python?

Emit asynchron ausführen beim logging modul in python? Hallo, ich möchte das Logging so konfigurieren, dass ich die Logs in der Datenbank habe und nicht nur als leeren output in der Konsole sehe. Daraufhin habe ich gegoogelt und bin auf diesen Beitrag gestoßen:&#xa0; https://stackoverflow.com/questions/75090778/making-a-logging-handler-with-async-emit Jedoch wird bei mir async_emit gar nicht aufgerufen. Ich habe hin und her probiert, auch mit Deepseek und ChatGPT, aber leider kam ich nicht weiter. Code:import logging import logging.config import aiosqlite from logging import Handler import json import asyncio class LoggingHandler(Handler): &#xa0; &#xa0; def __init__(self): &#xa0; &#xa0; &#xa0; &#xa0; super().__init__() &#xa0; &#xa0; &#xa0; &#xa0; self.db_name = r"logs.sqlite3" &#xa0; &#xa0; &#xa0; &#xa0; self.table_name = "new_logs" &#xa0; &#xa0; &#xa0; &#xa0; self.loop = asyncio.new_event_loop() &#xa0; &#xa0; def emit(self, record): &#xa0; &#xa0; &#xa0; &#xa0; print("EMIT CALLED \n\n\n\n") &#xa0; &#xa0; &#xa0; &#xa0; self.loop.create_task(self.async_emit(record=record)) &#xa0; &#xa0; async def async_emit(self, record: logging.LogRecord): &#xa0; &#xa0; &#xa0; &#xa0; print("ASYNC EMIT \n\n\n") &#xa0; &#xa0; &#xa0; &#xa0; async with aiosqlite.connect(self.db_name) as connection: &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; cursor = await connection.cursor() &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; print("\n\n") &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; await cursor.execute(f""" &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; INSERT INTO {self.table_name} (timestamp, level, filename, message) &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; VALUES (?, ?, ?, ?) &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; """, (record.asctime, record.levelname, record.filename, record.message)) &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; &#xa0; await connection.commit() &#xa0; &#xa0; def close(self): &#xa0; &#xa0; &#xa0; &#xa0; self.loop.run_until_complete(asyncio.gather(*asyncio.all_tasks(self.loop))) &#xa0; &#xa0; &#xa0; &#xa0; self.loop.close() config_file: str = r"config.json" with open(config_file) as file: &#xa0; &#xa0; config = json.load(file) logging.config.dictConfig(config=config) database_handler = LoggingHandler() database_handler.setLevel(logging.DEBUG) formatter = logging.Formatter('%(asctime)s - %(levelname)s - [%(filename)s]: %(message)s') database_handler.setFormatter(formatter) logging.getLogger().addHandler(database_handler) logging.info("Database handler set up successfully.")

normales Viginere Verfahren nutzen und mit Kasiski Test den Code Knacken. Funktioniert Kasiski auch wenn (Weiter in beschreibung)?

Hi, ich habe eine Frage zur Kryptografie Wenn ich das normale Vigenere-Verfahren nutze, kann ich mit dem Kasiski-Test den Code knacken. Was ist aber, wenn ich den verschlüsselten Geheimtext nochmal in 5erBlöcke teile und diese dann um jeweils zB vier Stellen verschieben? Funktioniert dann immer noch der Kasiski-Ablauf? Ich bin mir unsicher, könnte das jemand mit einem Beispiel Ausprobieren und demonstrieren und erklären?

Wie kann ich jemanden mit einer HTML-Website beeindrucken?

Ich habe jetzt ein paar Stunden mit HTML (ab nächste Woche auch CSS) verbracht und kann einigermaßen Websiten erstellen. Ich möchte aber jemanden etwas lustiges, unterhaltsames, oder etwas beeindruckendes über HTML vorstellen! Leider habe ich noch keine Ideen gefunden, deswegen bitte ich um eure Hilfe. Damit ihr wisst: ich werde noch kein javascript verwenden. Also technische Sachen wie Spielchen werden nicht möglich sein :( LG